dmi_dtm_dummy.vhdl 757 B

1234567891011121314151617181920212223242526272829303132
  1. -- Dummy/empty DMI interface to make toplevel happy on unsupported FPGAs
  2. library ieee;
  3. use ieee.std_logic_1164.all;
  4. library work;
  5. use work.wishbone_types.all;
  6. entity dmi_dtm is
  7. generic(ABITS : INTEGER:=8;
  8. DBITS : INTEGER:=32);
  9. port(sys_clk : in std_ulogic;
  10. sys_reset : in std_ulogic;
  11. dmi_addr : out std_ulogic_vector(ABITS - 1 downto 0);
  12. dmi_din : in std_ulogic_vector(DBITS - 1 downto 0);
  13. dmi_dout : out std_ulogic_vector(DBITS - 1 downto 0);
  14. dmi_req : out std_ulogic;
  15. dmi_wr : out std_ulogic;
  16. dmi_ack : in std_ulogic
  17. );
  18. end entity dmi_dtm;
  19. architecture behaviour of dmi_dtm is
  20. begin
  21. dmi_addr <= (others => '0');
  22. dmi_dout <= (others => '0');
  23. dmi_req <= '0';
  24. dmi_wr <= '0';
  25. end architecture behaviour;