core_dummy.vhdl 1.6 KB

1234567891011121314151617181920212223242526272829303132333435363738394041424344454647484950515253545556575859
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. use ieee.numeric_std.all;
  4. library work;
  5. use work.common.all;
  6. use work.wishbone_types.all;
  7. entity core is
  8. generic (
  9. SIM : boolean := false;
  10. DISABLE_FLATTEN : boolean := false;
  11. EX1_BYPASS : boolean := true;
  12. HAS_FPU : boolean := true;
  13. HAS_BTC : boolean := true;
  14. RESET_ADDRESS : std_ulogic_vector(63 downto 0) := (others => '0');
  15. ALT_RESET_ADDRESS : std_ulogic_vector(63 downto 0) := (others => '0');
  16. LOG_LENGTH : natural := 512
  17. );
  18. port (
  19. clk : in std_ulogic;
  20. rst : in std_ulogic;
  21. -- Alternate reset (0xffff0000) for use by DRAM init fw
  22. alt_reset : in std_ulogic;
  23. -- Wishbone interface
  24. wishbone_insn_in : in wishbone_slave_out;
  25. wishbone_insn_out : out wishbone_master_out;
  26. wishbone_data_in : in wishbone_slave_out;
  27. wishbone_data_out : out wishbone_master_out;
  28. dmi_addr : in std_ulogic_vector(3 downto 0);
  29. dmi_din : in std_ulogic_vector(63 downto 0);
  30. dmi_dout : out std_ulogic_vector(63 downto 0);
  31. dmi_req : in std_ulogic;
  32. dmi_wr : in std_ulogic;
  33. dmi_ack : out std_ulogic;
  34. ext_irq : in std_ulogic;
  35. terminated_out : out std_logic;
  36. -- for verilator debugging
  37. nia_req: out std_ulogic;
  38. nia: out std_ulogic_vector(63 downto 0);
  39. msr_o: out std_ulogic_vector(63 downto 0);
  40. insn: out std_ulogic_vector(31 downto 0);
  41. ldst_req: out std_ulogic;
  42. ldst_addr: out std_ulogic_vector(63 downto 0)
  43. );
  44. end core;
  45. architecture behave of core is
  46. begin
  47. end behave;